nl::Weave::Profiles::BDX_Development::ReceiveInit

#include <src/lib/profiles/bulk-data-transfer/Development/BDXMessages.h>

Alıcı, başlatan olduğunda değişimi başlatmak için ReceiveInit mesajı kullanılır.

Özet

Devralma

Devralındığı kaynak: nl::Weave::Profiles::BDX_Development::SendInit

Oluşturucular ve Yıkıcılar

ReceiveInit(void)
ReceiveInit mesajı için varsayılanlara sahip no-argüman oluşturucu.

Kamu işlevleri

ReceiveInit

 ReceiveInit(
  void
)

ReceiveInit mesajı için varsayılanlara sahip no-argüman oluşturucu.

Buradaki varsayılanların uykulu 802.15.4 cihazlar için ayarlandığını unutmayın. Başka bir bağlamda, başlatma sırasında değiştirilmeleri gerekir.